全部搜尋項
sid  ]
[ 原始碼: yosys-plugin-ghdl  ]

套件:yosys-plugin-ghdl(0.0~git20230419.5b64ccf-1)

yosys-plugin-ghdl 的相關連結

Screenshot

Debian 的資源:

下載原始碼套件 yosys-plugin-ghdl

維護小組:

外部的資源:

相似套件:

VHDL to RTL synthesis plugin using GHDL

This yosys plugin allows running RTL synthesis from VHDL source code instead of yosys' native Verilog.

This allows a full synthesis flow from VHDL to hardware for FPGAs where the GHDL compiler is used to analyse the VHDL sources and yosys is used to perform logic optimization, technology mapping and convertion to netlist format.

其他與 yosys-plugin-ghdl 有關的套件

  • 依賴
  • 推薦
  • 建議
  • 增強

下載 yosys-plugin-ghdl

下載可用於所有硬體架構的
硬體架構 套件大小 安裝後大小 檔案
amd64 54。1 kB234。0 kB [檔案列表]