all options
sid  ]
[ Source: yosys-plugin-ghdl  ]

Package: yosys-plugin-ghdl (0.0~git20230419.5b64ccf-1)

Links for yosys-plugin-ghdl

Screenshot

Debian Resources:

Download Source Package yosys-plugin-ghdl:

Maintainers:

External Resources:

Similar packages:

plugin che usa GHDL per sintetizzare VHDL in RTL

Questo plugin per yosys permette di eseguire la sintesi di RTL dal codice sorgente VHDL invece del Verilog nativo di yosys.

Ciò permette un flusso completo di sintesi dal VHDL all'hardware per gli FPGA dove il compilatore GHDL è usato per analizzare i sorgenti VHDL e yosys è usato per eseguire l'ottimizzazione della logica, la mappatura della tecnologia e la conversione nel formato netlist.

Other Packages Related to yosys-plugin-ghdl

  • depends
  • recommends
  • suggests
  • enhances

Download yosys-plugin-ghdl

Download for all available architectures
Architecture Package Size Installed Size Files
i386 55.4 kB233.0 kB [list of files]