Tarkennettu haku
buster  ] [  bullseye  ] [  bookworm  ] [  trixie  ] [  sid  ]
[ Source: mighttpd2  ]

Paketti: mighttpd2 (4.0.3-2)

Links for mighttpd2

Screenshot

Debian-palvelut:

Imuroi lähdekoodipaketti mighttpd2:

Ylläpitäjät:

External Resources:

Samankaltaisia paketteja:

classical web server on WAI/warp

Mighttpd2 (pronounced as "mighty") is a simple but practical HTTP server written in Haskell. It handles static files and CGI scripts. It also provides reverse proxy functionality.

Mighttpd2 is now implemented as a WAI application using the high-performance HTTP engine, "warp". To httperf Ping-Pong benchmark, Mighttpd2 is faster than nginx.

Muut pakettiin mighttpd2 liittyvät paketit

  • depends
  • recommends
  • suggests
  • enhances

Imuroi mighttpd2

Imurointi kaikille saataville arkkitehtuureille
Arkkitehtuuri Paketin koko Koko asennettuna Tiedostot
arm64 9,592.2 kt73,827.0 kt [tiedostoluettelo]